Your account is not validated. If you wish to use commercial simulators, you need a validated account.

Edit code - EDA Playground

submited by
Style Pass
2021-07-26 11:00:05

Your account is not validated. If you wish to use commercial simulators, you need a validated account.

If you have already registered (or have recently changed your email address), but have not clicked on the link in the email we sent you, please do so. If you cannot find the email, please check your spam/junk folder. Or click here to resend the email.

If you have not already registered for a full account, you can do so by clicking below. You will then need to provide us with some identification information. You may wish to save your code first.

Creating, deleting, and renaming files is not supported during Collaboration. To encourage development of these features for Collaboration, tweet to @EDAPlayground

Leave a Comment