The News: Intel Corporation (Nasdaq: INTC) today revealed one of the most detailed process and packaging technology roadmaps the company has ever p

Intel Provides its Multi-Year Roadmap Beyond 3nm to 20A

submited by
Style Pass
2021-08-05 18:30:21

The News: Intel Corporation (Nasdaq: INTC) today revealed one of the most detailed process and packaging technology roadmaps the company has ever provided, showcasing a series of foundational innovations that will power products through 2025 and beyond. In addition to announcing RibbonFET, its first new transistor architecture in more than a decade, and PowerVia, an industry-first new backside power delivery method, the company highlighted its planned swift adoption of next-generation extreme ultraviolet lithography (EUV), referred to as High Numerical Aperture (High NA) EUV. Intel is positioned to receive the first High NA EUV production tool in the industry. Read the full news release in the Intel Newsroom.

Analyst Take: Adding to what has already been a busy year for Intel, the semiconductor firm has announced an aggressive four-year roadmap designed, in the words of Intel CEO Pat Gelsinger, to lead Intel to “process performance parity in 2024 and leadership by 2025.” This includes the unveiling of a RibbonFET, anew transistor architecture; PowerVia, a backside power delivery system; updated advances in 3D packaging through Foveros Omni and Foveros Direct; and a new node naming framework designed to reflect the upcoming shift from nanometer to angstrom nodes.

This announcement comes right after its impressive Q2 2021 earnings report (where it beat consensus estimates for both earnings and revenue) and amid rumors that it may be in talks to acquire fab company GlobalFoundries, a move that would greatly expand Intel’s fabrication capacity.

Leave a Comment